Kanał - ATNEL tech-forum
Wszystkie działy
Najnowsze wątki



Teraz jest 29 mar 2024, o 03:22


Strefa czasowa: UTC + 1





Utwórz nowy wątek Odpowiedz w wątku  [ Posty: 6 ] 
Autor Wiadomość
PostNapisane: 13 maja 2016, o 21:05 
Offline
Użytkownik

Dołączył(a): 27 sty 2015
Posty: 61
Pomógł: 0

Witam
Otóż chce zaprojektować blok licznika modulo10 w języku VHDL, zliczanie od 0 do 9 działa, reset też działa, a po zmianie kierunku (Dir=0) nie chce działać. I w związku z tym mam prośbę czy ktoś mógłby sprawdzić kod i pomóc mi znaleźć błąd?
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.



Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
PostNapisane: 13 maja 2016, o 21:14 
Offline
Użytkownik
Avatar użytkownika

Dołączył(a): 11 mar 2014
Posty: 1475
Pomógł: 167

Jakbys formatował kod, to byś od razu zauważył niepoprawne zagnieżdzenie warunków:
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.


--
Pozdrawiam,
Robert


Autor postu otrzymał pochwałę


Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
PostNapisane: 14 maja 2016, o 14:18 
Offline
Użytkownik

Dołączył(a): 27 sty 2015
Posty: 61
Pomógł: 0

Poprawiłem działa, dziękuje za pomoc :)
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.



Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
PostNapisane: 14 maja 2016, o 21:30 
Offline
Użytkownik

Dołączył(a): 27 sty 2015
Posty: 61
Pomógł: 0

Jeszcze jedno pytanie, jak zrobić licznik modulo10 tak żeby po naciśnięciu "button1" zliczał do góry, a po naciśnięciu "button2" do dołu? Próbowałem dwa razy wykorzystać polecenie rising_edge w jednym procesie, ale nie wiem czy w tym języku takie coś można wykonać.
(Ps. Post pod postem, ale tu chodzi o inne rozwiązanie tego zadania i nie chciałem żeby wyszedł z tego jeden duży post z trzema różnymi kodami programu).

Ten program działa dobrze:
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.


Ten natomiast nie działa w ogóle
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.



Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
PostNapisane: 14 maja 2016, o 23:58 
Offline
Użytkownik
Avatar użytkownika

Dołączył(a): 11 mar 2014
Posty: 1475
Pomógł: 167

Cytuj:
Próbowałem dwa razy wykorzystać polecenie rising_edge w jednym procesie, ale nie wiem czy w tym języku takie coś można wykonać.
Nie możesz tak robić :(
Przeczytaj informacje pod linkiem http://stackoverflow.com/questions/1987 ... cess-block. Masz tam wszystko na ten temat napisane. Wystarczy połączyć wyzwalanie klawiszy button1 i button2 w jeden sygnał i jego podać do rising_edge().


Dodatkowo jak liczysz w dół, to dochodzisz tylko do 1, bo jak się ma pojawić zero, to już wtedy zmieniasz wartość i nie masz zera :( A jeszcze dodatkowo nie wpisujesz wtedy wartości górnej jako 9 ...

--
Pozdrawiam,
Robert



Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
PostNapisane: 21 maja 2016, o 21:01 
Offline
Użytkownik

Dołączył(a): 27 sty 2015
Posty: 61
Pomógł: 0

Dobra trochę zmieniłem koncepcje, plan jest taki żeby wykrywać kiedy guzik jest wciśnięty czyli stan=1, program znowu kompiluje się bez błędów, ale niestety symulacja nie działa.
Składnia: [ Pobierz ] [ Ukryj ]
język vhdl
Musisz się zalogować, aby zobaczyć kod źródłowy. Tylko zalogowani użytkownicy mogą widzieć kod.



Góra
 Zobacz profil  
cytowanie selektywne  Cytuj  
Wyświetl posty nie starsze niż:  Sortuj wg  
Utwórz nowy wątek Odpowiedz w wątku  [ Posty: 6 ] 

Strefa czasowa: UTC + 1


Kto przegląda forum

Użytkownicy przeglądający ten dział: Brak zidentyfikowanych użytkowników i 1 gość


Nie możesz rozpoczynać nowych wątków
Nie możesz odpowiadać w wątkach
Nie możesz edytować swoich postów
Nie możesz usuwać swoich postów
Nie możesz dodawać załączników

Szukaj:
Skocz do:  
cron
Sitemap
Technologię dostarcza phpBB® Forum Software © phpBB Group phpBB3.PL
phpBB SEO